From 522d56557b00246286d803425751a4334f3a94a5 Mon Sep 17 00:00:00 2001 From: Michael Smith Date: Mon, 15 Jul 2024 20:05:47 +0100 Subject: Update lspconfig, add indent-blankline indent-blankline is probably old because I've actually been using it for ages, but I have a strict if-it-ain't-broke policy, so I'm not going to update it. lspconfig *was* broke though with nvim 0.10, so now it's fixed. --- .../server_configurations/svlangserver.lua | 58 ++++++++++++++++++++++ 1 file changed, 58 insertions(+) create mode 100644 start/lspconfig-0.1.8/lua/lspconfig/server_configurations/svlangserver.lua (limited to 'start/lspconfig-0.1.8/lua/lspconfig/server_configurations/svlangserver.lua') diff --git a/start/lspconfig-0.1.8/lua/lspconfig/server_configurations/svlangserver.lua b/start/lspconfig-0.1.8/lua/lspconfig/server_configurations/svlangserver.lua new file mode 100644 index 0000000..bc3591a --- /dev/null +++ b/start/lspconfig-0.1.8/lua/lspconfig/server_configurations/svlangserver.lua @@ -0,0 +1,58 @@ +local util = require 'lspconfig.util' + +local function build_index() + local params = { + command = 'systemverilog.build_index', + } + vim.lsp.buf.execute_command(params) +end + +local function report_hierarchy() + local params = { + command = 'systemverilog.report_hierarchy', + arguments = { vim.fn.expand '' }, + } + vim.lsp.buf.execute_command(params) +end + +return { + default_config = { + cmd = { 'svlangserver' }, + filetypes = { 'verilog', 'systemverilog' }, + root_dir = function(fname) + return util.root_pattern '.svlangserver'(fname) or util.find_git_ancestor(fname) + end, + single_file_support = true, + settings = { + systemverilog = { + includeIndexing = { '*.{v,vh,sv,svh}', '**/*.{v,vh,sv,svh}' }, + }, + }, + }, + commands = { + SvlangserverBuildIndex = { + build_index, + description = 'Instructs language server to rerun indexing', + }, + SvlangserverReportHierarchy = { + report_hierarchy, + description = 'Generates hierarchy for the given module', + }, + }, + docs = { + description = [[ +https://github.com/imc-trading/svlangserver + +Language server for SystemVerilog. + +`svlangserver` can be installed via `npm`: + +```sh +$ npm install -g @imc-trading/svlangserver +``` +]], + default_config = { + root_dir = [[root_pattern(".svlangserver", ".git")]], + }, + }, +} -- cgit v1.2.3